Simulink HDL Coder.

Gerar código HDL de modelos Simulink e Código Matlab.
Baixe Agora

Simulink HDL Coder. Classificação e resumo

Propaganda

  • Rating:
  • Licença:
  • Shareware
  • Nome do editor:
  • The MathWorks, Inc.
  • Site do editor:
  • Sistemas operacionais:
  • Windows XP/2000/98
  • Tamanho do arquivo:
  • N/A

Simulink HDL Coder. Tag


Simulink HDL Coder. Descrição

Editar por Simulink HDL Coder Gera bit-true, ciclo-preciso, synthesizable verilog e código VHDL de modelos Simulink, tabelas do Estado e código Matlab incorporado. O código HDL gerado automaticamente é independente de destino. Simulink HDL Coder Gera código Verilog que esteja em conformidade com o código padrão e VHDL IEEE 1364-2001 que se conformidade com o padrão IEEE 1076. Como resultado, você pode verificar o código HDL gerado automaticamente usando produtos de verificação funcional populares, incluindo CADENCE® Incisive®, Mentor Graphics® Modelsim® e Synopsys® VCS®. Você também pode mapear o código HDL gerado automaticamente em matrizes de portas programáveis de campo (FPGAS) ou circuitos integrados específicos do aplicativo (ASICs) usando ferramentas de síntese populares, como o Altera® Quartus® II, Cadence Encount® RTL Compiler, Precisão do Mentor Graphics® ®, SyncOpsys Design Compiler®, Synplicity® Synplify® e Xilinx® ISE . Simulink HDL Coder também gera bancos de teste de HDL que ajudam você a verificar o código HDL gerado usando ferramentas de simulação HDL. Características principais Gera código HDL synthesizable de modelos Simulink e código Matlab embutido para implementações de DataPath Gera código HDL sintetizável das tabelas do Estado para Mealy e Moore Máquinas de estado finito e implementações lógicas de controle Gera o código VHDL que é o código compatível com o IEEE 1076 e o código Verilog que é o IEEE 1364-2001 em conformidade Permite criar modelos de bit-true e ciclo-preciso que correspondem às suas especificações de design de Simulink Permite selecionar entre várias implementações arquitetônicas de HDL para blocos comumente usados Permite especificar o subsistema para geração de código HDL Permite reutilizar o código HDL do IP existente (com produtos de link do EDA Simulator) Gera scripts de simulação e síntese


Simulink HDL Coder. Software Relacionado