Conversor Verilog para VHDL

verilog to vhdl conversor
Baixe Agora

Conversor Verilog para VHDL Classificação e resumo

Propaganda

  • Rating:
  • Licença:
  • GPL
  • Nome do editor:
  • Kanai Lal Ghosh
  • Sistemas operacionais:
  • Windows All
  • Tamanho do arquivo:
  • 22.3 MB

Conversor Verilog para VHDL Tag


Conversor Verilog para VHDL Descrição

Você pode facilmente descobrir o que o Conversor Verilog para VHDL faz lendo seu nome. É uma pequena aplicação destinada a fornecer uma maneira conveniente de converter o código Verilog para a linguagem de programação do VHDL. Tanto a Verilog quanto a VHDL são idiomas de descrição de hardware que encontram seu uso prático em sistemas eletrônicos e modelagem de circuitos e programação. O Verilog to VHDL Converter é um tradutor HDL que pode ser útil para quaisquer designers eletrônicos, permitindo a tradução precisa dos projetos de verilog para padrões compatíveis com VHDL. Alguns de vocês certamente preferem conversão manual para usar uma ferramenta dedicada e você pode ter um ponto lá, já que o VHDL gerado pode não funcionar corretamente, exigindo retificações manuais adicionais que são destinadas a garantir a compatibilidade do tipo de dados. O aplicativo é construído em Java e é capaz de processar vários arquivos Verilog de uma só vez. Se os arquivos de entrada estiverem em ordem aleatória, você deve usar a função 'Classificar' antes de prosseguir. Existem comandos para criar listas de exclusão, gerar uma entidade ou um componente exclusivamente (os correspondentes a um top ou módulo especificado). O verilog para o conversor VHDL só pode ser executado usando o console de comando, mas isso não deve ser inconveninente para aqueles que trabalham com idiomas, como verilog e VHDL, experientes o suficiente para lidar com sua simples sintaxe. O pacote vem com um exemplo para demonstrar como a conversão é realmente executada. Um arquivo de verilog de entrada passa por uma operação de pré-processamento (o arquivo RTL correspondente é salvo localmente) e, em seguida, o aplicativo prossegue para analisá-lo. O conversor da Verilog para VHDL é particularmente útil para designers que trabalham em um ambiente que pode lidar com os dois idiomas. Alternativamente, pode ser integrado em aplicativos que são compatíveis apenas com o VHDL. Avaliado por Mihaela Citea, última atualização em 4 de janeiro de 2014


Conversor Verilog para VHDL Software Relacionado

Buildron

Radiador de Integração Contínua Animada 3D que você pode usar ...

148 10.6 MB

Download

janta.

Compile uma parte do seu projeto Java usando esta ferramenta. ...

131 258 KB

Download